Dcreport tool assertion fails

Posted 2 months ago by Deniz Güzel

Post a topic
Answered
D
Deniz Güzel

Hi, 

When I try to use dcreport.exe executable to generate coverage report from a .db file, An assertion fails inside dcreport.cpp file as given below :


PS C:\Users\dguzel\Desktop\wb2spi_vip\sim> dcreport.exe -out_dir .\Coverage-results\ .\metrics.db

Assertion failed: n_inputs == inputs.size(), file cov_db/dcreport.cpp, line 981

I am checking files to send you for explaining more sufficently but the only thing related with that problem is given assertion fail message.

So I have been using this tool in other projects of mine on Dsim and there wasnt an error like that. The difference in this project is RAL classes consist of bit, address and field functional coverage. 

This problem ends up not showing functional coverage results in report. Only block, toggle and expression but If I let say open only block coverage then functional coverage can be seen in report generated with dcreport.exe and assert fail is gone. So it can be overflowing or there is a given limit but I could not find anything related with it in user guide.

I am going to share .dpf file whether it is related with options but I do not think so because of being using nearly same options for all the VIP's I am working on. 

Note: Simulation ends as expected and given vcd file and uvm report is works as expected even if it is quite long run.

If it is not a known issue or you need further information feel free to ask them. I tried to explain everything that comes to my mind regarding the subject in detail here.

0 Votes

B

Brian Hill posted about 2 months ago Admin Best Answer

Hi Deniz,

The newest release should be available for you to try.

20240422.8.0

Again, if there are any issues let me know.

Thanks again,

Brian

0 Votes


14 Comments

Sorted by
D

Deniz Güzel posted about 2 months ago

Dear Brian,

I have tested the new release version, and it works flawlessly. Thank you for your support. I am marking your last entry as the accepted answer.

Best regards,

Deniz

0 Votes

B

Brian Hill posted about 2 months ago Admin Answer

Hi Deniz,

The newest release should be available for you to try.

20240422.8.0

Again, if there are any issues let me know.

Thanks again,

Brian

0 Votes

B

Brian Hill posted about 2 months ago Admin

Hi Deniz,

Thank you for the data you provided - I was able to reproduce and isolate the problem. The issue has been resolved and on Monday the release process will be started on the next build and should be available shortly thereafter. I'll update you when it's available.

I was able to run your test AND produce a proper coverage report.

Thank you for your contribution and patience.

If there are any future issues please let us know.

Brian

0 Votes

D

Deniz Güzel posted about 2 months ago

Hi Brian,

I regret to inform you that the latest version you released is causing a fatal crash during the elaboration phase. The error message is as follows:


Instrumenting for Line Coverage...
Assertion failed: (item->getEndOffset() >= m_Counters.back()->getEndOffset()) || !item->getEndOffset(), file xform/LineCoverageTransform.cpp, line 256
=F:[Crash] 
This Metrics software product has crashed due to an internal error.
Please seek assistance from Metrics support personnel.
Additional information may follow.



Unfortunately, there are not many output files available. The log files, .env files, and dsim_work folder are present. If you require additional files other than dsim.log, please let me know, and I can provide them. Additionally, I am willing to share the entire verification environment via email if that would assist you in understanding the problem better.

Thank you for your attention to this matter. I look forward to your assistance.


Deniz

Attachments (1)

0 Votes

B

Brian Hill posted about 2 months ago Admin

Hi Deniz,

20240422.7.0 has been made available to users. Please download it and try your test again.

We implemented the new fix and resolved the corner cases. There is the possibility of more corner cases to be found, but we got what we have working in order for you to try it. If you encounter any related issues we can investigate those as well, with a quicker turn-around time than this last iteration.

Looking forward to your feedback,

Brian

0 Votes

D

Deniz Güzel posted about 2 months ago

Hi Brian,

Thank you for the update. I'm truly delighted to have had the opportunity to contribute to the Dsim forum and simulator, and to provide some inspiration.

I look forward to hearing from you soon.

Deniz


0 Votes

B

Brian Hill posted about 2 months ago Admin

Hi Deniz,

I just wanted to give you an update. I am still in the process of validating our recent fixes to get them released so you can try it. We've had some infrastructure upgrades as well as some corner cases we are trying to resolve - but we are very close and it should be made available soon. I do apologize for the delay and will let you know when it has been officially published.

Your case has also inspired our support team to investigate ways for external users like yourself to send us test cases securely, that don't involve posting to the public forum.

Brian

0 Votes

D

Deniz Güzel posted 2 months ago

Hi Brian,


I appreciate your feedback. As far as I am aware, there isn't an option to delete the comments individually from the forum entry. It seems the only way to remove them is by deleting the entire forum entry. However, I don't consider this crucial; they can remain as they are. I look forward to hearing from you regarding any further improvements.


Thank you,

Deniz

0 Votes

B

Brian Hill posted 2 months ago Admin

Hi Deniz,

Thank you. I'll see what I can find with the files you were able to provide. It does seem suspiciously like an issue that has been fixed and is in the process of being validated. Hopefully after some investigation I'll have an estimated time of delivery for you to be able to test the fix yourself to see if it does address your particular crash.

I appreciate your help in debugging this.

I have downloaded your test files, if you would prefer to remove them (if that's possible) feel free to do so.

Brian

0 Votes

S

Shaun Luong posted 2 months ago Admin

For security reasons, we do not allow the following file types as attachments:

"Executable files" - exe, dll, sys, swf, scr, gzquar, js, jse, class, vb, com, bat, cmd, pif, img, iso, hta,  html,  msc,  msp,  cpl,  reg, tmp,  py,  ps,  msi,  msp,  inf, scf, ocx, bin, ws, sh, lnk, gadget, dmg, msh, apk, iqy, pub, jar

 "Compression files" - zip, rar, sfx, zix, ear, war, arj


Also, the third-party support platform we use limits file attachments to 20MB per conversation (post, ticket, etc.).

0 Votes

D

Deniz Güzel posted 2 months ago

Hi Brian,

Since this is a personal project, I was going to share the HDL files and the UVM environment with you, but for some reason, file sending using compression files like RAR and ZIP are prohibited in the forum. Since it wouldn't make sense to share more than thirty SV and SVH files one by one here, I will share the DB and image.so files of the simulations created with two different configurations: one with all coverages open (prefixed with AllOn) and the other with only block coverage open(prefixed with OnlyBlock), along with the HDL design you requested. You can find them attached except only block coverage on db file because of the forum again prohibts more than 20 mb which two image file is more than 19mb by theirself. 

0 Votes

D

Deniz Güzel posted 2 months ago

Hi Brian, 

Unfortunately environment is in my office computer and it is weekend but I ll try to go office tomorrow to send you required files. That's my bad to forget sending .db file. Sorry about that.

0 Votes

B

Brian Hill posted 2 months ago Admin

If sending the HDL files is not an option (which I would understand) - perhaps the metrics.db file and/or the dsim_work/image.so file would be sufficient to debug the problem.

0 Votes

B

Brian Hill posted 2 months ago Admin

Hi Deniz,

Thank you for letting us know about any issues you've encountered. I will take a look at your attachment, but I fear I won't be able to debug much without the actual HDL files you used. I can try to construct my own contrived case, but it may not address the same concern.

Thank you,

Brian

0 Votes

Login to post a comment