Preprocessor output

Posted 3 months ago by Gareth Williams

Post a topic
Gareth Williams
Gareth Williams

Is there a way to inspect preprocessing output, such as text macro expansion, in DSim?


IIRC, early versions of DSim shipped with a stand-alone SystemVerilog preprocessor, but there doesn't seem to be such a thing in recent releases.

2 Votes


1 Comments

S

Shaun Luong posted 3 months ago Admin

Hi Gareth! Currently, we do not ship the preprocessor with releases of DSim. If enough people upvote your feature request, we may put it on our roadmap.

0 Votes

Login to post a comment