View System Verilog unpacked arrays in waveforms

Posted 4 months ago by Ricardo Gallo

Post a topic
Answered
Ricardo Gallo
Ricardo Gallo

I have a System Verilog design which is using some unpacked arrays and I noticed I can't view them in metrics waveform. Is there any command-option to enable them? Or we do not have that feature? Thanks in advance 

0 Votes

S

Shaun Luong posted 4 months ago Admin Best Answer

Yes, add `-dump-agg` to your Configure Simulation Options to dump aggregates to your waveform. Enter `dsim -help` in your DSim Desktop terminal shell to view all available options.

1 Votes


2 Comments

Sorted by
Ricardo Gallo

Ricardo Gallo posted 4 months ago

Thank you !

0 Votes

S

Shaun Luong posted 4 months ago Admin Answer

Yes, add `-dump-agg` to your Configure Simulation Options to dump aggregates to your waveform. Enter `dsim -help` in your DSim Desktop terminal shell to view all available options.

1 Votes

Login to post a comment